Data Encoding Techniques for Lower Power Dissipation in Network on Chip

DOI : 10.17577/IJERTV3IS21480

Download Full-Text PDF Cite this Publication

Text Only Version

Data Encoding Techniques for Lower Power Dissipation in Network on Chip

D. Anisha,

M.E-Applied Electronics,

Jayaram college of engineering and technology, Trichy, India.

R. Sarathbabu,

Assistant Professor (ECE),

Jayaram college of engineering and technology, Trichy, India.

AbstractIn this project, a low-power data encoding scheme is proposed. In general, system-on-chip (soc) based system has so many disadvantages in power-dissipation as well as clock rate wise such transfer the data from one system to another system in on-chip. At the same time, a higher operated system does not support the lower operated bus network for data transfer. However an alternative scheme is proposed for high speed data transfer. But this scheme is limited to SOCs. Unlike soc, network- on-chip (NOC) has so many advantages for data transfer. It has a special feature to transfer the data in on-chip named as transitional encoder. Its operation is based on transitions of input data. At the same time it supports systems which are operated at higher frequencies. The proposed system yields lower dynamic power dissipation due to the reduction of switching activity and coupling switching activity when compared to existing system. Even-though many factors which are based on power dissipation, the dynamic power dissipation is only considerable for reasonable advantage. The proposed system is synthesized as well as simulated using Quartus II 9.1 simulated design software. Besides, the proposed system will be extended up-to inter-link PE communication (data transfer from one PE to other) with help of routers and PEs which are performed by various operations. To implement this system, a real NOC which contains the proposed encoders & decoders for data transfer with regular traffic scenarios should be considered.

Index TermsCoupling switching activity, data encoding, interconnection on chip, low power, network-on-chip (NoC),power analysis.

  1. INTRODUCTION

    As VLSI technologies continue to scale, wire densities increases to support ever-small transistor geometries and casuses on-chip wires to present increasing latency and energy problem. In particular, the high latency of cross-chip communication can still limit total performance by increasing the delay between on-chip unit. Such scalable bandwidth requirement can be satisfied by using on-chip packet-switched micro-network of interconnects, generally known as Network-on-Chip (NoC) architecture. The basic idea came from the traditional large-scale multi-processors and distributed computing networks. The scalable and modular nature of NoC and their support for efficient on- chip communication lead to the NoC-based system implementations. In order to meet typical SoCs or multi-

    core processing and basic module of network interconnection like switching logic, routing algorithm and the packet definition should be light-weighted to result in easily implemental solutions. Another approach to exceed such a limitation of communication and overcome such an enormous wiring delay in future technology is to adopt network-like interconnections which is called Network-on- Chip (NoC) architecture. Basic concept of such kind of interconnections is from the modern computer network evolution as mentioned before. By applying network-like communication which inserts some routers in-between each communication object, the required wiring can be shortened. Therefore, the switch-based interconnection mechanism provides a lot of scalability and freedom from the limitation of complex wiring. Replacement of SoC busses by NoCs will follow the same path of data communications when the economics prove that the NoC either reduces SoC manufacturing cost, SoC time to market, SoC time to volume, and SoC design risk or increases SoC performance. According to the NoC approach has a clear advantage over traditional busses and most notably system throughput. And hierarchies of crossbars or multilayered busses have characteristics somewhere in between traditional busses and NoC, however they still fall far short of the NoC with respect to performance and complexity.The success of the NoC design depends on the research of the interfaces between processing elements of NoC and interconnection fabric. The interconnection of a SoC established procedures has some weak points in those respects of slow bus response time, energy limitation, scalability problem and bandwidth limitation. Bus interconnection composed of a large number of components in a network interface can cause slow interface time though the influence of sharing the bus. In addition the interconnection has a defect that power consumption is high on the score of connecting all objects in the communication. Moreover it is impossible to increase the number of connection of the elements infinitely by reason of the limitation of bandwidth in a bus.

    As a consequence, the performance of the NoC design relies greatly on the interconnection paradigm .Though the network technology in computer network is already well developed, it is almost impossible to apply to a chip-level

    intercommunication environment without any modification or reduction. For that reason, many researchers are trying to develop appropriate network architectures for on-chip communication. To be eligible for NoC architecture, the basic functionality should be simple and light-weighted because the implemented component of NoC architecture should be small enough to be a basic component constructing a SoC. In order to be low powered one has to consider many parameters such as clock rate, operating voltages,power management.

    Fig: Fundamental concept of NOC

    As shown in Fig the NI is augmented with an encoder(E) and a decoder (D) block. With the exception of the header flit, the encoder encodes the outgoing flits of the packet in such a way as to minimize the power dissipated by the inter-router point-to-point links which form the routing path of the current packet. Since the routers are not equipped with any encoding/decoding logic, the header flit is not encoded as it contains control information (destination address, packet size, and so on) which have to be processed by the routers through the routing path. Similarly to the above description, all the incoming flits in the network interface (with the exception of the header flit) are decoded by the encoder block. It should be pointed out that the proposed scheme is de-signed to be applied in the context of no VC based implementations. In fact, if VCs are used, the assumption that the flits belonging to different packets are not interleaved in the same link is not valid anymore. At any rate, it does not mean that the proposed scheme cannot be applied in VC based implementations but, instead, that the potential power savings are reduced.

  2. RELATED WORKS AND CONTRIBUTIONS

    In the next several years, the availability of the chips with 1000 cores is foreseen [6]. In these chips, a significant fraction of the total system power budget is dissipated by interconnection network. Therefore, the design of power- efficient interconnection networks has been the focus of many works published in the literature dealing with NoC architecture. These works concentrate on different components of the interconnection networks such as routers, NI, and links. Since the focus of this paper is on reducing the power dissipation by the links, in this section, briefly review some of the works in the area of link power reduction. These include the techniques that make use of shielding [7], [8], increasing

    line-to-line spacing [9], [10], repeater insertion [11]. They all inceases the chip area. The data encoding scheme is another method that was employed to reducing the link power dissipation. The data encoding techniques may be classified into two types. In the first type, encoding techniques concentrate on lowering the power due to self-switching activity of individual bus lines while ignoring the power dissipation owing to their coupling switching activity. In this type, bus invert (BI) [12] and INC-XOR [13] have been proposed for the case that random data patterns are transmitted through these lines. On the other hand, gray code [14], T0 [15], working-zone encoding [16], and T0-XOR [17] were suggested for the case of correlated data patterns. Application- specific approaches have also been proposed [18][22].This category of encoding is not suitable to applied in the deep sub micron meter technology nodes where the coupling capacitance constitutes a major part of the total interconnect capacitance. This causes the power consumption due to the coupling switching activity to become a large fraction of the total link power consumption, making the above mentioned techniques, which ignore such contributions, inefficient [23].The works in the second type concentrate on reducing power dissipation through the reduction of the coupling witching [10], [22] Among these schemes [10], [24] [28], the switching activity is reduced using many extra control lines. For example, data bus width grows from 32 to 55 in [24]. The techniques proposed in [20] have a smaller number of control lines but the complexity of their decoding logic is high. The technique is described as follows: first, the data are both odd inverted and even inverted, and the transmission is performed using the kind of inversion that reduces more the switching activity. In [30],the coupling switching activity is reduced up to 39%. In this paper, compared to [30], we use a simpler encoder and decoder while achieving a higher activity reduction. Let us now discuss in more detail the works with which we compare our proposed data encoding schemes. In [12], the number of transitions from 0 to 1 for two consecutive flits (the flit that just traversed and the one which is about to traverse the link) is counted. If the number is larger than half of the link width, the inversion will be performed to reduce the number of 0 to 1 transitions when the flit is transferred via the link. This technique is only concerned about the self-switching without worrying the coupling switching. Note that the coupling capacitance in the state-ofthe-art silicon technology is considerably larger (e.g., four times) compared with the self-capacitance, and should be considered in any scheme proposed for the link power reduction.

    TABLE I

    EFFECT OF ODD INVERSION ON CHANGE OF TRANSITION T YPES

  3. PROPOSED ENCODING SCHEMES

    In this section, present the proposed encoding scheme

    whose goal is to reduce power dissipation by minimizing the coupling transition activity on the links of the interconnection

    network. Let us first describe the power model that contains the different components of power dissipation of a link.. One can

    classify four types of coupling transitions. A Type I transition

    occurs when one of the lines switches when the other remains unchanged. In a Type II transition, one line switches from low

    to high, other makes transition from high to low .A Type III transition corresponds to the case where both lines switch

    simultaneously. Finally, in a Type IV transition both lines do not change. The effective switched capacitance varies from type to type and hence, the coupling transition activity, is a weighted sum of different types of coupling transition contributions .Here, we calculate the occurrence probability for different types of transitions. Consider that flit ( t 1) and flit ( t ) refer to the previous flit which was transferred through the link and the flit is about to pass through the link, respectively.We consider only two adjacent bits of the physical channel.Sixteen different combinations of these four bits could occur (Table I). Note that the first bit is the value of the generic i th line of the link, whereas the second bit represents the value of its ( i + 1)th line. The number of transitions for Types I, II, III, and IV are 8, 2, 2, and 4, respectively. For a random set of data, each of these sixteen transitions has the same probability. Therefore, the occurrence probability for Types I, II, III, and IV are 1/2, 1/8, 1/8, and 1/4, respectively. In the rest of this section, we present three data encoding schemes designed for reducing the dynamic power dissipation of the network links along with a possible hardware implementation of the decoder.

    A.SCHEME I:

    In Scheme I, we focus on reducing Type I transitions while in Scheme II, both Types I and II transitions are taken into account for deciding between half and full invert, depending the amount of switching reduction. Finally, in Scheme III, we consider the fact that Type I transitions show different behaviors in the case of odd and even invert and make the inversion which leads to the higher power saving.

    Fig:Circuit diagram of encoder architecture of scheme I

    Fig: Internal view of encoder block

    In scheme I, we focus on reducing the numbers of Type I transitions ( by converting them to Types III and IV transi- tions) and Type II transitions (by converting them to Type I transition). The scheme compare the current data with the previous data one to decide whether odd inversion or no inversion of the current data can lead to the link power reduction.

    Table I reports, for each transition, the relationship between the coupling transition activities of the flit when transmitted and when its bits are odd inverted. Data are organized as follows. The first bit is the value of the generic i th line of the link, whereas the second bit represent the value of its ( i + 1)th line. For each partition, the first line represents the values at time t 1(t).

    As Table I shows, if the flit is odd inverted, Types II, III, and IV transitions convert to Type I transitions. In the case of Type I transitions, the inversion leads to one of Types II, III, or Type IV transitions. In particular, the transitions indicated as T1, T1,andT1 in the table convert to Types II, III, and IV transitions, respectively.

    Fig: circuit diagram of decoder architecture

    B.SCHEME II:

    In the proposed encoding scheme II, we make use of both odd (as discussed previously) and full inversion. The full inversion operation converts Type II transitions to Type IV transitions. The scheme compares the current data with the previous one to decide whether the odd, full, or no inversion

    of the current data can give rise to the link power reduction.

    fig:Encoder architecture scheme II

    fig:Internal view of the decoder block scheme II

    The w bits of the incoming body flit are indicated by Z I ( R I

    ), i = 0 , 1 ,…,w 1. The w th bit of the body flit is indicated by inv which shows if it was inverted (inv = 1) or left as it was (inv = 0). For the decoder, we only need to have the Ty block to determine which action has been taken place in the encoder. Based on the outputs of these blocks , the majority voter block checks the validity of the inequality .If the output is 0 (1) and the inv = 1,it means that half (full) inversion of the bits has been per-formed. Using this output and the logical gates, the inversion action is determined. If two inversion bits were used, the overhead of the decoder hardware could be substantially reduced.

    This module determines if odd, even, full, or no invert action corresponding to the outputs 10,01, 11, or 00, respectively, should be performed. The outputs 01, 11, and 10 show that whether respectively, are satisfied. In this paper, Module C was designed based on the conditions given

    .Similar to the procedure used to design the decoder for scheme II, the decoder for scheme III may be designed .This article has ben accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception .

    TABLE II

    EFFECT OF EVEN INVERSION ON CHANGE OF TRANSITION TYPES

    C.SCHEME III:

    In the proposed encoding Scheme III, we add even inversion to Scheme II. The reason is that odd inversion converts some of Type I ( T1) transitions to Type II transitions. As can be observed from Table II, if the flit is even inverted, the transitions indicated as T1/ T1 in the table are converted to Type IV/Type III transitions. Therefore, the even inversion may reduce the link power dissipation as well. The scheme compares the current data with the previous one to decide whether odd, even, full, or no inversion of the current data can give rise to the link power reduction.

    Fig:Encoder architecture scheme III

  4. RESULTS

    DYNAMIC POWER DISSIPATION FOR ENCODER:

    ENCO DER (TRA DITIO NAL)

    ENCODER( PROPOSED

    ) SCHEME I

    ENCODE R(PROPO SED) SCHEME

    II

    ENCODER( PROPOSED

    ) SCHEME

    III

    19.45

    mw

    19.43mw

    7.76mw

    7.87mw

    25

    20

    15

    10

    5

    0

    ENCODER(TRADI

    TIONAL) ENCODER(SCHE ME-I) ENCODER(SCHE ME-II) ENCODER(SCHE ME-III

    DYNAMIC POWER DISSIPATION FOR DECODER :

    DECODE R (TRADIT IONAL)

    DECODER(P ROPOSED) SCHEME I

    DECODER(PR OPOSED) SCHEME -II

    DECODE R(PROPO SED) SCHEME

    III

    19.35m

    w

    16.19mw

    10.37mw

    7.66mw

    20 DECODER

    compared to the previous encoding schemes the rationale behind the proposed schemes is to minimize not only the switching activity, but also the coupling switching activity which is mainly responsible for link power dissipation. By using the proposed encoding schemes in NoC architecture their application does not require any modification neither in the routers nor in the links. As per the performance evaluation results, the proposed system has lower dynamic power dissipation than classical one.

    REFERENCES

    1. International Technology Roadmap for Semiconductors.(2011) [Online].Available: http://www.itrs.net

    2. M. S. Rahaman and M. H. Chowdhury, Crosstalk avoidance and errorcorrection coding for coupled RLC interconnects, in Proc. IEEE Int.

      Symp. Circuits Syst., May 2009, pp. 141144.

    3. W. Wolf, A. A. Jerraya, and G. Martin, Multiprocessor system-on-chip MPSoC technology, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 10, pp. 17011713, Oct. 2008.

    4. L. Benini and G. De Micheli, Networks on chips: A new SoC paradigm, Computer, vol. 35, no. 1, pp. 7078, Jan. 2002.

    5. S. E. Lee and N. Bagherzadeh, A variable frequency link for a power- aware network-on-chip (NoC), Integr. VLSI J. , vol. 42, no. 4,pp. 479

    485, Sep. 2009.

    [6]D.Yeh,L.S.Peh,S.Borkar,J.Darringer,A.Agarwal,andW.M.Hwu,Thousand- core chips roundtable, IEEE Design Test Comput., vol. 25,no. 3, pp. 272278, MayJun. 2008.

    1. A. Vittal and M. Marek-Sadowska, Crosstalk reduction for VLSI,IEEE

      Trans. Comput.-Aided Design Integr. Circuits Syst. , vol. 16, no. 3,pp. 290298, Mar. 1997.

    2. M. Ghoneima, Y. I. Ismail, M. M. Khellah, J. W. Tschanz,and V. De,Formal derivation of optimal active shielding for low-power on- chip

      buses, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. , vol. 25,no. 5, pp. 821836, May 2006.

    3. L. Macchiarulo, E. Macii, and M. Poncino, Wire placement for crosstalk

      energy minimization in address buses, in Proc. Design Autom.Test Eur. Conf. Exhibit. , Mar. 2002, pp. 158162.

    4. R. Ayoub and A. Orailoglu, A unified transformational approach for reductions in fault vulnerability, power, and crosstalk noise and delay on processor buses, in Proc. Design Autom. Conf. Asia South Pacific , vol. 2. Jan. 2005, pp. 729734.

    5. K. Banerjee and A. Mehrotra, A power-optimal repeater insertion methodology for global inter connects in nanometer designs, IEEE Trans. Electron Devices , vol. 49, no. 11, pp. 20012007, Nov. 2002.

    6. M. R. Stan and W. P. Burleson, Bus-invert coding for low-power I/O, IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 3, no. 1,pp. 49 58, Mar. 1995.

    7. S. Ramprasad, N. R. Shanbhag, and I. N. Hajj, A coding frameworkfor low-power address and data busses, IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 7, no. 2, pp. 212221, Jun. 1999.

    8. C. L. Su, C. Y. Tsui, and A. M. Despain, Saving power in the control path of embedded processors, IEEE Design Test Comput. , vol. 11,

      15

      10

      5

      0

  5. CONCLUSION

(TRADITIONA

L)

DECODER(SC HEMEI)

no. 4,pp. 2431, Oct.Dec. 1994.

  1. L. Benini, G. De Micheli, E. Macii, D. Sciuto, and C. Silvano, Asymp- totic zero-transition activity encoding for address busses in low-power microprocessor-based systems, inProc. 7th Great Lakes Symp. VLSI,Mar. 1997, pp. 7782.

  2. E. Musoll, T. Lang, and J. Cortade lla, Working-zone encoding for reducing the energy in microprocessor address buses, IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 6, no. 4, pp. 568572, Dec. 1998.

  3. W. Fornaciari, M. Polentarutti, D. Sciuto, and C. Silvano,Power optimization of system-level address buses based on software profil- ing, in Proc. 8th Int. Workshop Hardw. Softw. Codesign, May

    In this paper, a set of new data encoding schemes aimed at reducing the power dissipated by the links of an NoC. As

    2000,pp. 29 33.

  4. L. Benini, G. De Micheli, E. Mac ii, M. Poncino, and S.Quer, Power optimization of core-based systems by address bus encoding, IEEE

    Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 6, no. 4, pp. 554 562, Dec. 1998.

  5. L. Benini, A. Macii, M. Poncino, and R. Scarsi,Architectures and synthesis algorithms for power-efficient bus interfaces, IEEE Trans.Comput.-Aided Design Integr.Circuits Syst., vol. 19, no. 9, pp. 969 980,Sep. 2000.

  6. G. Ascia, V. Catania, M. Palesi , and A. Parlato,Switching activity reduction in embedded systems: Agenetic bus encoding

    approach,IEEE Proc. Comput. Digit. Tech. , vol. 152, no. 6, pp. 756764, Nov. 2005.

  7. R. Siegmund, C. Kretzschmar, and D. Muller, Adaptive Partial Bus- invert encoding for power efficient data transfer over wide system buses, in Proc. 13th Symp. Integr. Circuits Syst. Design, Sep. 2000,pp. 371376.

  8. S. Youngsoo, C. Soo-Ik, and C. Kiyoung, Partial bus- invert coding for

    power optimization of application- specific systems, IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 9, no. 2, pp. 377383, Apr. 2001.

  9. M. Palesi, G. Ascia, F. Fazzino, and V. Catania, Data encoding schemes in networks on chip, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. , vol. 30, no. 5, pp. 774786, May 2011.

  10. C. G. Lyuh and T. Kim, Low-power bus encoding with crosstalk delay elimination, IEE Proc. Comput. Digit.Tech. , vol. 153, no. 2,pp. 93 100,

    Mar. 2006.

  11. P. P. Pande, H. Zhu, A. Ganguly, and C. Grecu, Energy reduc-tion through crosstalk avoidance coding in NoC paradigm, in Proc.9th EUROMICRO Conf. Digit. Syst. Design Archit. Methods Tools ,Sep. 2006, pp. 689695.

BIOGRAPHIES

D. Anisha received the B.E. degree in Electronics and Communication Engineering from the Jayamatha Engineering College,Aralvaimozhi, Anna University, Chennai, India, in 2012, doing her M.E degree in Applied Electronics from the Jayaram College of Engineering & Technology, Trichy, Anna University, Chennai, India, in 2014. Her area of interest is VSI.

R. Sarathbabu received the B.E. degree in Electronics and Communication Engineering from the Jayaram College of Engineering & Technology, Trichy, Bharathidasan University, Trichy, India, in 2002, the M.E degree in Communication Systems from the Jayaram College of Engineering & Technology, Trichy, Anna University, Chennai, India, in 2005. Currently working as Assistant professor in Jayaram College of Engineering & Technology for 11 years, Trichy, India. He had attended four International conference and Eight National conference. He had published three papers in International Journal. His research interest includes digital Image processing and Medical Image Processing.

Leave a Reply