Vlsi Based Performance Improvement in Digital Hearing Aid Using Reconfigurable Filter

DOI : 10.17577/IJERTV3IS051317

Download Full-Text PDF Cite this Publication

Text Only Version

Vlsi Based Performance Improvement in Digital Hearing Aid Using Reconfigurable Filter

G. Madhusudhanan*, M. Athappan**

Sri Venkateswara College of Engineering, Sri Venkateswara College of Engineering, Sriperumbudur Sriperumbudur

Abstract: – The present hearing aid systems have fixed soundwave decomposition plans due to the use of fixed filterbanks. So, they are unable to be used for different hearing loss complaints. To overcome this problem a reconfigurable filterbank which comprises of a multiband generation block and sub-band selection block is proposed. The interpolation and decimation techniques are used to reduce the complexity of the system. The proposed structure enables the hearing impaired people to adjust the hearing aid according to their own specific conditions and requirements to improve the hearing ability. The results shows that the proposed filterbank matches the audio frequency of the humans and overcomes the disadvantages of using fixed filterbanks. The delay is also taken into consideration steps are taken to reduce the delay.

INTRODUCTION

A hearing aid is an electro acoustic device which is designed to amplify sound for the wearer, usually with the aim of making speech more intelligible, and to correct impaired hearing as measured by audiometry. Ordinary small audio amplifiers or other plain sound reinforcing systems cannot be sold as "hearing aids".Earlier devices, known as ear trumpets or ear horns, were passive funnel- like amplification cones designed to gather sound energy and direct it into the ear canal. Similar devices include the bone anchored hearing aid, and cochlear implant.Before the development of digital aids, all hearing aids were analogue. Analogue aids use a microphone to pick up sound and convert it into electrical signals. The signals are then amplified by transistors and fed to the microphone so that the person using the hearing aid can hear them. The better analogue hearing aids used to compress the sound, automatically altering the gain to suit the incoming sound. This amplifies quiet sounds until they are loud enough to be heard, but gives less boost to sounds that are already loud, so that the person using the hearing aid is protected against uncomfortably loud sound levels. T he idea behind this is that it should help the wearer when in very noisy places to hear the one voice or sound that he or she is interested in. Unfortunately, even with the better analogue hearing aids, this did not work very well and analogue hearing aids have been almost completely superseded by digital technology. Digital aids work on a completely different principle and strive to overcome the problem of hearing against background noise. They take the signal from the microphone and convert it into binary coding bits of data numbers that can be manipulated by a tiny computer in the hearing aid. This makes it possible to monitor

incoming sound signals and process very accurately. The best digital aids can be very finely adjusted to suit individuals lifestyles and hearing loss, they can be set up to automatically adjust themselves to suit different sound environments.

The major hearing aid manufacturers in Europe and the States have invested hugely in research and development of digital hearing aid technology. Much effort has been focused on the improving hearing in difficult listening environments, to help hear conversation in traditionally noisy places such as pubs or clubs etc.

Digital hearing aids are programmed by computer software at the point of fitting, using your personal audio logical data and lifestyle choice. The hearing aids are normally set to an easy listening acclimatization level initially and then over the next few appointments, adjustments are made and the acclimatization levels increased until you are at your optimum setting. This is a very gradual process to help your brain cope with the new sound inputs

An eight band frequency-response masking filter- bank was proposed for hearing aids. Both the designs lower the complexity at the cost of delay. A critical band-like spaced filter-bank was used. The irregularity of the sub- bands in-creases the difficulty of the implementation. A 1/3 octave filter-bank was realized in. The octave filter-bank was based on an IIR structure and could not provide linear phase property. These algorithms better match the characteristics of human auditory filtering compared to algorithms based on uniform filter-banks. However, the complexity of non-uniform filter-banks is generally higher than that of uniform filter-banks.

All the filterbanks mentioned earlier have fixed sub-bands, thus they cannot provide flexible sound decomposition plans ac-cording to the characteristics of different types of hearing loss. It is attractive to design filterbanks with adjustable sub-bands that can be customized for an individual hearing-loss case. The variable filterbank was based on IIR filters; thus, it cannot provide linear phase property. afilterbank with adjustable sub-bands was proposed. All the sub-bands were first generated and then some of them were selected while some of them were abandoned. This design can achieve a better performance.

The main aim of the work is to realize a high speed filter. This method requires more area and consumes more

power compared to other CSD based methods. The reconfigurable multiplier block based filter architecture utilizing graph dependent (GD) algorithms was proposed. This architecture is suitable for slow speed applications as GD algorithms are sequential. The main drawback of all these methods is that the filter structure corresponding to the communication standard with most stringent specifications needs to be implemented as the basic filter and then other channel filter responses are obtained from scaled down version of the full-length basic filter. Also the reconfiguration time is high.

The proposed filter-bank is expected to provide multiple band decomposition plans and has small computational complexity. To make the filter-bank reconfigurable, interpolation and decimation techniques are used. To lower the complexity, frequency-response masking technique is employed.

Figuere 1. Fir filterProposed system

The block diagram of our modified filter realization method is shown in Figure1.The step by step approach is presented as follows.

  1. First, a lowpass filter (termed modal filter) is designed.

  2. The coefficient decimation operation is performed on this modal filter to increase the bandwidth by factor of D. In the coefficient decimation technique, every Dth coefficient of the modal filter is retained; discarding the coefficients in between.

  3. In order to enhance the flexibility of the receiver for extracting different sets of bands (other than the bands obtained from the decimated and interpolated modal filter), inverse operation is performed on decimated modal filters. An inverse filter of the decimated modal filter is obtained when the sign of every alternate coefficient from the retained set of coefficients is reversed. This is done by reconfiguring the adder block corresponding to every alternate coefficient from the retained set of coefficients to work as subtractor. This can be done with the help of select" signal in the adder/subtractor block.

  4. The resulting decimated/inverse decimated filters are further interpolated using different interpolation. Interpolation produces multiband response and also reduces the bandwidth by factor of M. The bandwidth of these bands

    is D/M x BWinitial, where BWinitial is the modal filter"s bandwidth.Interpolation of decimated/inverse decimated filter generates multiband response with bands centered at the odd multiples of /M. Hence the center frequency resolution that can be achieved using our modified method (/M) is twice that of the method (2/M) in. The number of distinct frequency bands obtained using our method is approximately twice that of the method.

  5. Individual channel filter responses are extracted using a set of fixed-coefficient masking filters.

Fig 2 multiband generation block

Our method extends the design in to include the higher interpolation factors, adds more flexibility by incorporating inverse operation as well as uses generalized set of fixed-coefficient masking filters for extracting the channel filter responses. The proposed method can be illustrated using following example. Consider a modal filter with the passband and stopband edges, fp = 0.07 and fs = 0.1, respectively. Coefficient decimation by factor of D gives the decimated filter having with bandwidth D x BWinitial. Interpolation of decimated modal filter by factor of M produces total (M+1) bands having passband and stopband edges at (2K/M ± D x fp/M) and (2K/M ± D x fs/M) respectively, where K = 0 to M. Note that out of all these bands, only (M+1 ceil(M/2)) bands are in the real part of frequency spectrum. We consider the interpolation factors from 3 to 8. For M = 3 to 8, we get total 21 bands (computed using?(M+1-ceil(M/2))) by interpolating the decimated modal filter as shown in Fig. 2. Note that in this case D = 1. Another set of 18 distinct bands (computed using ?ceil(M/2)) is obtained by interpolating its inverse filter . Thus a total of 39 bands are obtained from the decimated modal filter and its inverse modal filter. We perform the decimation prior to the inverse operation and interpolation and hence we get such 39 bands for each of the decimated versions of modal filter. For D = 1 to 4, we get 4×39 i.e. 156 bands. The interpolated responses of decimated modal filter and its inverse filter for D = 4 are shown in Fig. 4 and 5 respectively. Note that only the real part of the frequency spectrum is shown in the figures in this paper. Also all the frequency values are normalized with respect to half the sampling frequency. Using appropriate frequency response masking filters, each of these bands can be extracted and used as a channel filter

Masking

The effects of masking are not so clear cut as masking does not actually remove a cue but obscures it by overlaying it with noise. Noise masking can be of two kinds, additive or multiplicative. Multiplicative masking occurs when each speech sample is multiplied by a sample from a noise sound. The effect of this is to match the noise level with the level of the speech at each point in time so that for quieter segments (eg. /f/) the added noise level is lower than the level of noise added to louder segments (eg. vowels). Additive noise masking occurs when the average speech level for an entire speech token (in our experiment, either an /h_d/ or a CV syllable) is computed and then the level of noise added to the entire token is a fixed multiple of the speech token's average level. This will have the effect of more greatly masking softer phonemes than it will the louder phonemes.The stop-band frequencies of the bands to be extracted determine the pass-band edges of the masking filter. The masking filter is designed in such a way that only one band of the multiband interpolated response is to be extracted and rest of the bands is to be rejected. Hence the stop band edges of the bands to be rejected determine the stop band edges of the masking filter. The stop band edges of the bands produced by interpolation depend upon the stop band edges of decimated modal filter.

Figure3. frequency response of filter,shaping filter,interpolator and masking filter

CONCLUSION

The proposed filter bank enables us to use different plans to divide the input sound waves based on the patients own characteristics of the audiograms. The gain for each sub band is adjustable to suit the needs of the hearing impaired, i.e., the amplitude response of the filter bank should equalize or match the audiogram. Our modified method generates approximately more number of frequency responses.

REFERENCES

  1. M. A. Hersh,M. A. Johnson, C. Andersson, D. Campbell, A. Farquharson, S. Furner, J. Gill, A. Jackson, and J. Lucker, Assistive Technology for the Hearing-Impaired, Deaf and Deaf-Blind. London, U.K.: Springer-Verlag, 2003.

  2. A. M. Engebretson, Benefits of digital hearing aids, IEEE Eng. Med. Biol. Mag., vol. 13, no. 2, pp. 238248, Apr./May 1994.

  3. A. R. Moller, Hearing: Anatomy, Physiology and Disorders of the Auditory System, 2nd ed. Orlando, FL, USA: Academic Press, Sep. 11, 2006.

  4. Y. C. Lim, A digital filterbank for digital audio systems, IEEE Trans.Circuits Syst., vol. 33, no. 8, pp. 848849, Aug. 1986.

  5. T. Lunner and J. Hellgren, A digital filterbank hearing aid design, implementation and evaluation, in Proc. Int. Conf. Acoust., Speech Signal Process., Apr. 1991, vol. 5, pp. 36613664.

  6. T. Schneider and R. Brennan, A multichannel compression strategy for a digital hearing aid, in Proc. IEEE Int. Conf. Acoust., Speech Signal Process., 1997, vol. 1, pp. 411414.

  7. M. T. Tan, J. S. Chang, and Y. C. Tong, A novel low-voltage low- power wave digital filterbank for an intelligent noise reduction digital hearing instrument, in Proc. IEEE Int. Symp. Circuits Syst., Sydney, Australia,

    May 0609, 2001, vol. 2, pp. 681684.

  8. H. Li, G. A. Jullien, V. S. Dimitrov, M. Ahmadi, and W. Miller, A 2- digit multidimensional logarithmic number system filterbank for a digital hearing aid architecture, in Proc. IEEE Int. Symp. Circuits Syst., AZ, USA, 2002, pp. II-760763.

  9. D. Hermann, E. Chau, R. D. Dony, and S. M. Areibi, Window based prototype filter design for highly oversampled filterbanks in audio applications, inProc. IEEE Int. Conf. Acoust., Speech Signal Process.,Honolulu, HI, USA, Apr. 1520, 2007, pp. II-405II-408.

  10. R. Dong, D. Hermann, R. Brennan, and E. Chau, Joint filterbank structures for integrating audio coding into hearing aid applications, in Proc.IEEE Int. Conf. Acoust., Speech Signal Process., Mar. 31Apr. 4 2008,

    pp. 15331536.

  11. R. Cassidy and J. O. Smith, A tunable, nonsubsampled, nonuniformfilterbank for multi-band audition and level modification of audio signals,inProc. 38th Asilomar Conf. Signals, Syst. Comput., Nov. 710, 2004, vol. 2, pp. 22282232.

  12. Y. Lian and Y.Wei, A computationally efficient non-uniform FIR digital Filterbank for hearing aid, IEEE Trans. Circuits Syst. I: Reg. Papers, vol. 52, pp. 27542762, Dec. 2005.

  13. K. S. Chong, B. H. Gwee, and J. S. Chang, A 16-channel low-power nonuniform spaced filterbank core for digital hearing aid, IEEE Trans. Circuits Syst., vol. 53, no. 9, pp. 853857, Sep. 2006.

  14. Y.-T. Kuo, T.-J. Lin, Y.-T. Li, and C.-W. Liu, Design and implementation of low-power ANSI S1.11 filterbank for digital hearing aids, IEEE Trans. Circuits Syst. I: Reg. Papers, vol. 57, no. 7, pp. 16841696, 2010.

  15. A. B. Hamida, An adjustable filter-bank based algorithm for hearing aid systems, in Proc. Int. Conf. Ind. Electron., Control Instrum., 1999, vol. 3, pp. 11871192.

  16. T. B. Deng, Three-channel variable filter-bank for digital hearing aids, IET Signal Process., vol. 4, no. 2, pp. 181196, Apr. 2010.

Leave a Reply